Resistive Random Access Memory (RRAM): an Overview of Materials, Switching Mechanism, Performance, Multilevel Cell (mlc) Storage, Modeling, and Applications

Nanoscale Research Letters - Tập 15 Số 1 - 2020
Furqan Zahoor1, Tun Zainal Azni Zulkifli1, Farooq Ahmad Khanday2
1Department of Electrical and Electronics Engineering, Universiti Teknologi Petronas, Seri Iskandar, Perak, 32610, Malaysia
2P.G. Department of Electronics and Instrumentation Technology, University of Kashmir, Srinagar, Jammu and Kashmir, 190005, India

Tóm tắt

AbstractIn this manuscript, recent progress in the area of resistive random access memory (RRAM) technology which is considered one of the most standout emerging memory technologies owing to its high speed, low cost, enhanced storage density, potential applications in various fields, and excellent scalability is comprehensively reviewed. First, a brief overview of the field of emerging memory technologies is provided. The material properties, resistance switching mechanism, and electrical characteristics of RRAM are discussed. Also, various issues such as endurance, retention, uniformity, and the effect of operating temperature and random telegraph noise (RTN) are elaborated. A discussion on multilevel cell (MLC) storage capability of RRAM, which is attractive for achieving increased storage density and low cost is presented. Different operation schemes to achieve reliable MLC operation along with their physical mechanisms have been provided. In addition, an elaborate description of switching methodologies and current voltage relationships for various popular RRAM models is covered in this work. The prospective applications of RRAM to various fields such as security, neuromorphic computing, and non-volatile logic systems are addressed briefly. The present review article concludes with the discussion on the challenges and future prospects of the RRAM.

Từ khóa


Tài liệu tham khảo

Meena JS, Sze SM, Chand U, Tseng TY (2014) Overview of emerging nonvolatile memory technologies. Nanoscale Res Lett 9(1):526.

Pan F, Gao S, Chen C, Song C, Zeng F (2014) Recent progress in resistive random access memories: materials, switching mechanisms, and performance. Mat Sci Eng R Rep 83:1–59.

Wong HSP, Salahuddin S (2015) Memory leads the way to better computing. Nat Nanotechnol 10(3):191.

Yu S (2016) Resistive random access memory (RRAM). Synth Lect Emerg Eng Technol 2(5):1–79.

Wong HSP, Raoux S, Kim S, Liang J, Reifenberg JP, Rajendran B, et al. (2010) Phase change memory. Proc IEEE 98(12):2201–2227.

Burr GW, Breitwisch MJ, Franceschini M, Garetto D, Gopalakrishnan K, Jackson B, et al. (2010) Phase change memory technology. J Vac Sci Technol B Nanotechnol Microelectron Mater Process Meas Phenom 28(2):223–262.

Wuttig M, Yamada N (2007) Phase-change materials for rewriteable data storage. Nat Mater 6(11):824–832.

Apalkov D, Dieny B, Slaughter J (2016) Magnetoresistive random access memory. Proc IEEE 104(10):1796–1830.

Dieny B, Sousa R, Herault J, Papusoi C, Prenat G, Ebels U, et al. (2010) Spin-transfer effect and its use in spintronic components. Int J Nanotechnol 7(4-8):591–614.

Chappert C, Fert A, Van Dau FN (2010) The emergence of spin electronics in data storage In: Nanoscience And Technology: A Collection of Reviews from Nature Journals, 147–157.. Co-Published with Macmillan Publishers Ltd, UK. https://doi.org/10.1142/9789814287005_0015.

Gupta V, Kapur S, Saurabh S, Grover A (2019) Resistive random access memory: a review of device challenges. IETE Tech Rev:1–14. https://doi.org/10.1080/02564602.2019.1629341.

Zhu L, Zhou J, Guo Z, Sun Z (2015) An overview of materials issues in resistive random access memory. J Materiomics 1(4):285–295.

Ielmini D (2016) Resistive switching memories based on metal oxides: mechanisms, reliability and scaling. Semicond Sci Technol 31(6):063002.

Lorenzi P, Rao R, Irrera F (2015) Role of the electrode metal, waveform geometry, temperature, and postdeposition treatment on SET and RESET of HfO2-based resistive random access memory 1R-cells: experimental aspects. J Vac Sci Technol B Nanotechnol Microelectron Mater Process Meas Phenom 33(1):01A107.

Ye C, Wu J, He G, Zhang J, Deng T, He P, et al. (2016) Physical mechanism and performance factors of metal oxide based resistive switching memory: a review. J Mater Sci Technol 32(1):1–11.

Yang X (2016) Demonstration of ultra-fast switching in nanometallic resistive switching memory devices. J Nanosci 2016:1–7. https://doi.org/10.1155/2016/8132701.

Chang TC, Chang KC, Tsai TM, Chu TJ, Sze SM (2016) Resistance random access memory. Mater Today 19(5):254–264.

Su YT, Liu HW, Chen PH, Chang TC, Tsai TM, Chu TJ, et al. (2018) A method to reduce forming voltage without degrading device performance in hafnium oxide-based 1T1R resistive random access memory. IEEE J Electron Devices Soc 6:341–345.

La Torre C, Fleck K, Starschich S, Linn E, Waser R, Menzel S (2016) Dependence of the SET switching variability on the initial state in HfOx-based ReRAM. Phys Status Solidi A 213(2):316–319.

Feng W, Shima H, Ohmori K, Akinaga H (2016) Investigation of switching mechanism in HfO x-ReRAM under low power and conventional operation modes. Sci Rep 6(1):1–8.

Long S, Lian X, Ye T, Cagli C, Perniola L, Miranda E, et al. (2013) Cycle-to-cycle intrinsic RESET statistics in HfO 2-based unipolar RRAM devices. IEEE Electron Device Lett 34(5):623–625.

Chen W, Lu W, Long B, Li Y, Gilmer D, Bersuker G, et al. (2015) Switching characteristics of W/Zr/HfO 2/TiN ReRAM devices for multi-level cell non-volatile memory applications. Semicond Sci Technol 30(7):075002.

Philip Wong HS, et al. (2014) Multi-level control of conductive nano-filament evolution in HfO 2 ReRAM by pulse-train operations. Nanoscale 6(11):5698–5702.

Huang YJ, Shen TH, Lee LH, Wen CY, Lee SC (2016) Low-power resistive random access memory by confining the formation of conducting filaments. AIP Adv 6(6):065022.

Acharyya D, Hazra A, Bhattacharyya P (2014) A journey towards reliability improvement of TiO 2-based resistive random access memory: a review. Microelectron Reliab 54(3):541–560.

Lu W, Chen W, Li Y, Jha R (2016) Self current limiting MgO ReRAM devices for low-power non-volatile memory applications. IEEE J Emerg Sel Topics Circuits Syst 6(2):163–170.

Tsigkourakos M, Bousoulas P, Aslanidis V, Skotadis E, Tsoukalas D (2017) Ultra-low power multilevel switching with enhanced uniformity in forming free TiO 2−x-based RRAM with embedded Pt nanocrystals. Phys Status Solidi A 214(12):700570.

Bousoulas P, Stathopoulos S, Tsialoukis D, Tsoukalas D (2016) Low-power and highly uniform 3-b multilevel switching in forming free TiO 2−x-based RRAM with embedded Pt nanocrystals. IEEE Electron Device Lett 37(7):874–877.

Bousoulas P, Tsoukalas D (2016) Understanding the formation of conducting filaments in RRAM through the design of experiments. Int J High Speed Electron Syst 25(01n02):640007.

Yang L, Kuegeler C, Szot K, Ruediger A, Waser R (2009) The influence of copper top electrodes on the resistive switching effect in TiO 2 thin films studied by conductive atomic force microscopy. Appl Phys Lett 95(1):013109.

Chen SX, Chang SP, Chang SJ, Hsieh WK, Lin CH (2018) Highly stable ultrathin TiO 2-based resistive random access memory with low operation voltage. ECS J Solid State Sci Technol 7(7):Q3183.

Prakash A, Deleruyelle D, Song J, Bocquet M, Hwang H (2015) Resistance controllability and variability improvement in a TaOx-based resistive memory for multilevel storage application. Appl Phys Lett 106(23):233104.

Maikap J, Dutta P (2014) Self-compliance RRAM characteristics using a novel W/TaOx/TiN structure. Nanoscale Res Lett 9(1):292.

Prakash A, Jana D, Maikap S (2013) TaO x-based resistive switching memories: prospective and challenges. Nanoscale Res Lett 8(1):418.

Chung YL, Cheng WH, Jeng JS, Chen WC, Jhan SA, Chen JS (2014) Joint contributions of Ag ions and oxygen vacancies to conducting filament evolution of Ag/TaOx/Pt memory device. J Appl Phys 116(16):164502.

Prakash A, Park J, Song J, Woo J, Cha EJ, Hwang H (2014) Demonstration of low power 3-bit multilevel cell characteristics in a TaO x-based RRAM by stack engineering. IEEE Electron Device Lett 36(1):32–34.

Ma G, Tang X, Zhang H, Zhong Z, Li J, Su H (2015) Effects of stress on resistive switching property of the NiO RRAM device. Microelectron Eng 139:43–47.

Long S, Cagli C, Ielmini D, Liu M, Sune J (2011) Reset statistics of NiO-based resistive switching memories. IEEE Electron Device Lett 32(11):1570–1572.

Lee S, Chae S, Chang S, Lee J, Seo S, Kahng B, et al. (2008) Scaling behaviors of reset voltages and currents in unipolar resistance switching. Appl Phys Lett 93(21):212105.

Nardi F, Ielmini D, Cagli C, Spiga S, Fanciulli M, Goux L, et al. (2010) Sub-10 μA reset in NiO-based resistive switching memory (RRAM) cells In: 2010 IEEE International Memory Workshop.. IEEE. https://doi.org/10.1109/imw.2010.5488317.

Huang Y, Shen Z, Wu Y, Wang X, Zhang S, Shi X, et al. (2016) Amorphous ZnO based resistive random access memory. RSC Adv 6(22):17867–17872.

Seo JW, Baik SJ, Kang SJ, Lim KS (2010) Characteristics of ZnO thin film for the resistive random access memory. MRS Online Proceedings Library Archive:1250. https://doi.org/10.1557/proc-1250-g12-16.

Han Y, Cho K, Kim S (2011) Characteristics of multilevel bipolar resistive switching in Au/ZnO/ITO devices on glass. Microelectron Eng 88(8):2608–2610.

Chiu FC, Li PW, Chang WY (2012) Reliability characteristics and conduction mechanisms in resistive switching memory devices using ZnO thin films. Nanoscale Res Lett 7(1):1–9.

Simanjuntak FM, Panda D, Wei KH, Tseng TY (2016) Status and prospects of ZnO-based resistive switching memory devices. Nanoscale Res Lett 11(1):368.

Chang WY, Lai YC, Wu TB, Wang SF, Chen F, Tsai MJ (2008) Unipolar resistive switching characteristics of ZnO thin films for nonvolatile memory applications. Appl Phys Lett 92(2):022110.

Chen SX, Chang SP, Hsieh WK, Chang SJ, Lin CC (2018) Highly stable ITO/Zn 2TiO4/Pt resistive random access memory and its application in two-bit-per-cell. RSC Adv 8(32):17622–17628.

Zhang S, Long S, Guan W, Liu Q, Wang Q, Liu M (2009) Resistive switching characteristics of MnOx-based ReRAM. J Phys D Appl Phys 42(5):055112.

Yang MK, Park JW, Ko TK, Lee JK (2009) Bipolar resistive switching behavior in Ti/MnO 2/Pt structure for nonvolatile memory devices. Appl Phys Lett 95(4):042105.

Chiu FC, Shih WC, Feng JJ (2012) Conduction mechanism of resistive switching films in MgO memory devices. J Appl Phys 111(9):094104.

Wu Y, Lee B, Wong HSP (2010) Al 2O3-Based RRAM using atomic layer deposition (ALD) with 1- μA RESET current. IEEE Electron Device Lett 31(12):1449–1451.

Lin CY, Lee DY, Wang SY, Lin CC, Tseng TY (2008) Effect of thermal treatment on resistive switching characteristics in Pt/Ti/Al 2O3/Pt devices. Surf Coat Technol 203(5-7):628–631.

Banerjee W, Xu X, Liu H, Lv H, Liu Q, Sun H, et al. (2015) Occurrence of resistive switching and threshold switching in atomic layer deposited ultrathin (2 nm) aluminium oxide crossbar resistive random access memory. IEEE Electron Device Lett 36(4):333–335.

Wu MC, Jang WY, Lin CH, Tseng TY (2012) A study on low-power, nanosecond operation and multilevel bipolar resistance switching in Ti/ZrO 2/Pt nonvolatile memory with 1T1R architecture. Semicond Sci Technol 27(6):065010.

Lin CY, Wu CY, Wu CY, Lee TC, Yang FL, Hu C, et al. (2007) Effect of top electrode material on resistive switching properties of ZrO 2 film memory devices. IEEE Electron Device Lett 28(5):366–368.

Sun B, Liu Y, Liu L, Xu N, Wang Y, Liu X, et al. (2009) Highly uniform resistive switching characteristics of TiN/ZrO 2/Pt memory devices. J Appl Phys 105(6):061630.

Li Y, Long S, Zhang M, Liu Q, Shao L, Zhang S, et al. (2009) Resistive switching properties of Au/ZrO 2/Ag structure for low-voltage nonvolatile memory applications. IEEE Electron Device Lett 31(2):117–119.

Wang SY, Lee DY, Tseng TY, Lin CY (2009) Effects of Ti top electrode thickness on the resistive switching behaviors of rfsputtered ZrO 2 memory films. Appl Phys Lett 95(11):112904.

Wong HSP, Lee HY, Yu S, Chen YS, Wu Y, Chen PS, et al. (2012) Metal–oxide RRAM. Proc IEEE 100(6):1951–1970.

Gao S, Song C, Chen C, Zeng F, Pan F (2012) Dynamic processes of resistive switching in metallic filament-based organic memory devices. J Phys Chem C 116(33):17955–17959.

Son JY, Shin YH, Kim H, Jang HM (2010) NiO resistive random access memory nanocapacitor array on graphene. ACS Nano 4(5):2655–2658.

Tsai CL, Xiong F, Pop E, Shim M (2013) Resistive random access memory enabled by carbon nanotube crossbar electrodes. Acs Nano 7(6):5360–5366.

Tang G, Zeng F, Chen C, Liu H, Gao S, Li S, et al. (2013) Resistive switching with self-rectifying behavior in Cu/SiO x/Si structure fabricated by plasma-oxidation. J Appl Phys 113(24):244502.

Huang YC, Chou CH, Liao CY, Tsai WL, Cheng HC (2013) High-performance resistive switching characteristics of programmable metallization cell with oxidized Cu-Ti electrodes. Appl Phys Lett 103(14):142905.

Goux L, Opsomer K, Degraeve R, Müller R, Detavernier C, Wouters D, et al. (2011) Influence of the Cu-Te composition and microstructure on the resistive switching of Cu-Te/Al 2O3/Si cells. Appl Phys Lett 99(5):053502.

Wang JC, Jian DY, Ye YR, Chang LC (2013) Platinum–aluminum alloy electrode for retention improvement of gadolinium oxide resistive switching memory. Appl Phys A 113(1):37–40.

Lee MJ, Lee D, Cho SH, Hur JH, Lee SM, Seo DH, et al. (2013) A plasma-treated chalcogenide switch device for stackable scalable 3D nanoscale memory. Nat Commun 4(1):1–8.

Tang G, Zeng F, Chen C, Gao S, Fu H, Song C, et al. (2013) Resistive switching behaviour of a tantalum oxide nanolayer fabricated by plasma oxidation. Phys Status Solidi (RRL)–Rapid Res Lett 7(4):282–284.

Cao X, Li X, Gao X, Liu X, Yang C, Yang R, et al. (2011) All-ZnO-based transparent resistance random access memory device fully fabricated at room temperature. J Phys D Appl Phys 44(25):255104.

Zheng K, Sun X, Zhao J, Wang Y, Yu H, Demir HV, et al. (2011) An indium-free transparent resistive switching random access memory. IEEE Electron Device Lett 32(6):797–799.

Kim HD, An HM, Hong SM, Kim TG (2012) Unipolar resistive switching phenomena in fully transparent SiN-based memory cells. Semicond Sci Technol 27(12):125020.

Hu Q, Park MR, Abbas H, Kang TS, Yoon TS, Kang CJ (2018) Forming-free resistive switching characteristics in tantalum oxide and manganese oxide based crossbar array structure. Microelectron Eng 190:7–10.

Govoreanu B, Kar G, Chen Y, Paraschiv V, Kubicek S, Fantini A, et al. (2011) 10x10 nm2 Hf/HfO x crossbar resistive RAM with excellent performance, reliability and low-energy operation In: 2011 International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2011.6131652.

Zhao L, Jiang Z, Chen HY, Sohn J, Okabe K, Magyari-Köpe B, et al. (2014) Ultrathin (∼2nm) HfO x as the fundamental resistive switching element: thickness scaling limit, stack engineering and 3D integration In: 2014 IEEE International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2014.7046998.

Akinaga H, Shima H (2010) Resistive random access memory (ReRAM) based on metal oxides. Proc IEEE 98(12):2237–2251.

Hong X, Loy DJ, Dananjaya PA, Tan F, Ng C, Lew W (2018) Oxide-based RRAM materials for neuromorphic computing. J Mater Sci 53(12):8720–8746.

Lee JS, Lee S, Noh TW (2015) Resistive switching phenomena: a review of statistical physics approaches. Appl Phys Rev 2(3):031303.

Yang X, Long S, Zhang K, Liu X, Wang G, Lian X, et al. (2013) Investigation on the RESET switching mechanism of bipolar Cu/HfO 2/Pt RRAM devices with a statistical methodology. J Phys D Appl Phys 46(24):245107.

Wang G, Long S, Zhang M, Li Y, Xu X, Liu H, et al. (2014) Operation methods of resistive random access memory. Sci China Technol Sci 57(12):2295–2304.

Waser R (2009) Resistive non-volatile memory devices. Microelectron Eng 86(7-9):1925–1928.

Lee H, Chen P, Wu T, Chen Y, Wang C, Tzeng P, et al. (2008) Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO 2 based RRAM In: 2008 IEEE International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2008.4796677.

Chen L, Gou HY, Sun QQ, Zhou P, Lu HL, Wang PF, et al. (2011) Enhancement of resistive switching characteristics in Al 2O3-based RRAM with embedded ruthenium nanocrystals. IEEE Electron Device Lett 32(6):794–796.

Yu S, Wu Y, Chai Y, Provine J, Wong HSP (2011) Characterization of switching parameters and multilevel capability in HfO x/AlO x Bi-layer RRAM devices In: Proceedings of 2011 International Symposium on VLSI Technology, Systems and Applications.. IEEE. https://doi.org/10.1109/vtsa.2011.5872251.

Hsu CW, Wang IT, Lo CL, Chiang MC, Jang WY, Lin CH, et al. (2013) Self-rectifying bipolar TaO x/TiO 2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory In: 2013 Symposium on VLSI Technology, T166–T167.. IEEE.

Chand U, Huang CY, Jieng JH, Jang WY, Lin CH, Tseng TY (2015) Suppression of endurance degradation by utilizing oxygen plasma treatment in HfO 2 resistive switching memory. Appl Phys Lett 106(15):153502.

Park MR, Abbas Y, Hu Q, Yoon TS, Choi YJ, Kang CJ (2015) Resistive switching characteristics of tantalum oxide thin film and titanium oxide nanoparticles hybrid structure. J Nanosci Nanotechnol 15(11):8613–8616.

Woo J, Belmonte A, Redolfi A, Hwang H, Jurczak M, Goux L (2016) Introduction of WO 3 layer in a Cu-based Al 2O3 conductive bridge RAM system for robust cycling and large memory window. IEEE J Electron Devices Soc 4(3):163–166.

Chen YC, Chang YF, Wu X, Zhou F, Guo M, Lin CY, et al. (2017) Dynamic conductance characteristics in HfO 2 based resistive random access memory. RSC Adv 7(21):12984–12989.

Bousoulas P, Giannopoulos I, Asenov P, Karageorgiou I, Tsoukalas D (2017) Investigating the origins of high multilevel resistive switching in forming free Ti/TiO 2−x-based memory devices through experiments and simulations. J Appl Phys 121(9):094501.

Wu Q, Banerjee W, Cao J, Ji Z, Li L, Liu M (2018) Improvement of durability and switching speed by incorporating nanocrystals in the HfO x based resistive random access memory devices. Appl Phys Lett 113(2):023105.

Kumar D, Aluguri R, Chand U, Tseng T (2017) Metal oxide resistive switching memory: materials, properties and switching mechanisms. Ceram Int 43:S547–S556.

Kozicki MN, Barnaby HJ (2016) Conductive bridging random access memory—materials, devices and applications. Semicond Sci Technol 31(11):113001.

Valov I, Waser R, Jameson JR, Kozicki MN (2011) Electrochemical metallization memories—fundamentals, applications, prospects. Nanotechnology 22(25):254003.

Goux L, Valov I (2016) Electrochemical processes and device improvement in conductive bridge RAM cells. Phys Stat Solidi A 213(2):274–288.

Waser R, Aono M (2007) BNanoionics-based resistive switching memories. Nat Mater 6:833–840.

Feng P, Chao C, Wang Zs, Yang Yc, Jing Y, Fei Z (2010) Nonvolatile resistive switching memories-characteristics, mechanisms and challenges. Prog Nat Sci Mater Int 20:1–15.

Zhu D, Li Y, Shen W, Zhou Z, Liu L, Zhang X (2017) Resistive random access memory and its applications in storage and nonvolatile logic. J Semicond 38(7):071002.

Prakash A, Hwang H (2016) Multilevel cell storage and resistance variability in resistive random access memory. Phys Sci Rev 1:6.

Goux L, Sankaran K, Kar G, Jossart N, Opsomer K, Degraeve R, et al. (2012) Field-driven ultrafast sub-NS programming in W Al2O3 Ti CuTe-based 1T1R CBRAM system In: 2012 Symposium on VLSI Technology (VLSIT).. IEEE. https://doi.org/10.1109/vlsit.2012.6242465.

Lim S, Yoo J, Song J, Woo J, Park J, Hwang H (2016) Excellent threshold switching device (I OFF∼ 1 pA) with atom-scale metal filament for steep slope (< 5 mV/dec), ultra low voltage (VDD = 0.25 V) FET applications In: 2016 IEEE International Electron Devices Meeting (IEDM).. IEEE. https://doi.org/10.1109/iedm.2016.7838543.

Lanza M, Wong HSP, Pop E, Ielmini D, Strukov D, Regan BC, et al. (2019) Recommended methods to study resistive switching devices. Adv Electron Mater 5(1):800143.

Fantini A, Goux L, Redolfi A, Degraeve R, Kar G, Chen YY, et al. (2014) Lateral and vertical scaling impact on statistical performances and reliability of 10nm TiN/Hf (Al) O/Hf/TiN RRAM devices In: 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.. IEEE. https://doi.org/10.1109/vlsit.2014.6894433.

Chen Y, Lee H, Chen P, Gu P, Chen C, Lin W, et al. (2009) Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity In: 2009 IEEE International Electron Devices Meeting (IEDM).. IEEE. https://doi.org/10.1109/iedm.2009.5424411.

Hayakawa Y, Himeno A, Yasuhara R, Boullart W, Vecchio E, Vandeweyer T, et al. (2015) Highly reliable TaO x ReRAM with centralized filament for 28-nm embedded application 2015 Symposium on VLSI Technology (VLSI Technology).. IEEE. https://doi.org/10.1109/vlsit.2015.7223684.

Chen C, Goux L, Fantini A, Redolfi A, Clima S, Degraeve R, et al. (2014) Understanding the impact of programming pulses and electrode materials on the endurance properties of scaled Ta 2 O 5 RRAM cells In: 2014 IEEE International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2014.7047049.

Goux L, Fantini A, Redolfi A, Chen C, Shi F, Degraeve R, et al. (2014) Role of the Ta scavenger electrode in the excellent switching control and reliability of a scalable low-current operated TiN/Ta 2O5/Ta RRAM device In: 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.. IEEE. https://doi.org/10.1109/vlsit.2014.6894401.

Gonzalez-Cordero G, Jimenez-Molinos F, Roldán JB, González MB, Campabadal F (2017) In-depth study of the physics behind resistive switching in TiN/Ti/HfO 2/W structures. J Vac Sci Technol B Nanotechnol Microelectron Mater Process Meas Phenom 35(1):01A110.

Guan W, Long S, Jia R, Liu M (2007) Nonvolatile resistive switching memory utilizing gold nanocrystals embedded in zirconium oxide. Appl Phys Lett 91(6):062111.

Yu S, Gao B, Dai H, Sun B, Liu L, Liu X, et al. (2009) Improved uniformity of resistive switching behaviors in HfO 2 thin films with embedded Al layers. Electrochem Solid State Lett 13(2):H36.

Butcher B, Bersuker G, Young-Fisher K, Gilmer D, Kalantarian A, Nishi Y, et al. (2012) Hot forming to improve memory window and uniformity of low-power HfO x-based RRAMs In: 2012 4th IEEE International Memory Workshop.. IEEE. https://doi.org/10.1109/imw.2012.6213647.

Walczyk C, Walczyk D, Schroeder T, Bertaud T, Sowinska M, Lukosius M, et al. (2011) Impact of temperature on the resistive switching behavior of embedded HfO 2-based RRAM devices. IEEE Trans Electron Devices 58(9):3124–3131.

Fang Z, Yu H, Liu W, Pey K, Li X, Wu L, et al. (2010) Bias temperature instability of binary oxide based ReRAM In: 2010 IEEE International Reliability Physics Symposium.. IEEE. https://doi.org/10.1109/irps.2010.5488697.

Fang Z, Yu H, Liu W, Wang Z, Tran X, Gao B, et al. (2010) Temperature instability of resistive switching on HfO x-based RRAM devices. IEEE Electron Device Lett 31(5):476–478.

Wang C, Wu H, Gao B, Zhang T, Yang Y, Qian H (2018) Conduction mechanisms, dynamics and stability in ReRAMs. Microelectron Eng 187:121–133.

Terai M, Sakotsubo Y, Saito Y, Kotsuji S, Hada H (2009) Effect of bottom electrode of ReRAM with Ta 2O5/TiO 2 stack on RTN and retention In: 2009 IEEE International Electron Devices Meeting (IEDM).. IEEE. https://doi.org/10.1109/iedm.2009.5424226.

Kim S, Zhou J, Lu WD (2014) Crossbar RRAM arrays: selector device requirements during write operation. IEEE Trans Electron Devices 61(8):2820–2826.

Park SG, Yang MK, Ju H, Seong DJ, Lee JM, Kim E, et al. (2012) A non-linear ReRAM cell with sub- μA ultralow operating current for high density vertical resistive memory (VRRAM) In: 2012 International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2012.6479084.

Russo U, Kamalanathan D, Ielmini D, Lacaita AL, Kozicki MN (2009) Study of multilevel programming in programmable metallization cell (PMC) memory. IEEE Trans Electron Devices 56(5):1040–1047.

Chen Z, Huang W, Zhao W, Hou C, Ma C, Liu C, et al. (2019) Ultrafast multilevel switching in Au/YIG/n-Si RRAM. Adv Electron Mater 5(2):1800418.

Liu JC, Hsu CW, Wang IT, Hou TH (2015) Categorization of multilevel-cell storage-class memory: an RRAM example. IEEE Trans Electron Devices 62(8):2510–2516.

Wang SY, Huang CW, Lee DY, Tseng TY, Chang TC (2010) Multilevel resistive switching in Ti/Cu xO/Pt memory devices. J Appl Phys 108(11):114110.

Terai M, Sakotsubo Y, Kotsuji S, Hada H (2010) Resistance controllability of Ta 2O5/TiO 2 stack ReRAM for low-voltage and multilevel operation. IEEE Electron Device Lett 31(3):204–206.

Yang Y, Chen C, Zeng F, Pan F (2010) Multilevel resistance switching in Cu/TaO x/Pt structures induced by a coupled mechanism. J Appl Phys 107(9):093701.

Kim W, Menzel S, Wouters D, Waser R, Rana V (2016) 3-Bit multilevel switching by deep reset phenomenon in Pt/W/TaO x/Pt-ReRAM devices. IEEE Electron Device Lett 37(5):564–567.

Long B, Li Y, Jha R (2012) Switching characteristics of Ru/HfO 2/TiO 2−x/Ru RRAM devices for digital and analog nonvolatile memory applications. IEEE Electron Device Lett 33(5):706–708.

Balatti S, Ambrogio S, Gilmer DC, Ielmini D (2013) Set variability and failure induced by complementary switching in bipolar RRAM. IEEE Electron Device Lett 34(7):861–863.

Sedghi N, Li H, Brunell I, Dawson K, Potter R, Guo Y, et al. (2017) The role of nitrogen doping in ALD Ta 2O5 and its influence on multilevel cell switching in RRAM. Appl Phys Lett 110(10):102902.

Yu S, Wu Y, Wong HSP (2011) Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory. Appl Phys Lett 98(10):103514.

Panda D, Sahu PP, Tseng TY (2018) A collective study on modeling and simulation of resistive random access memory. Nanoscale Res Lett 13(1):8.

Guan X, Yu S, Wong HSP (2012) A SPICE compact model of metal oxide resistive switching memory with variations. IEEE Electron Device Lett 33(10):1405–1407.

Jiang Z, Yu S, Wu Y, Engel JH, Guan X, Wong HSP (2014) Verilog-A compact model for oxide-based resistive random access memory (RRAM) In: 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).. IEEE. https://doi.org/10.1109/sispad.2014.6931558.

Chen PY, Yu S (2015) Compact modeling of RRAM devices and its applications in 1T1R and 1S1R array design. IEEE Trans Electron Devices 62(12):4022–4028.

Yu S, Guan X, Wong HSP (2012) On the switching parameter variation of metal oxide RRAM—part II: model corroboration and device design strategy. IEEE Trans Electron Devices 59(4):1183–1188.

Yu S, Gao B, Fang Z, Yu H, Kang J, Wong HSP (2012) A neuromorphic visual system using RRAM synaptic devices with sub-pJ energy and tolerance to variability: experimental characterization and large-scale modeling In: 2012 International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2012.6479018.

Kim S, Kim SJ, Kim KM, Lee SR, Chang M, Cho E, et al. (2013) Physical electro-thermal model of resistive switching in bi-layered resistance-change memory. Sci Rep 3:1680.

Lee MJ, Lee CB, Lee D, Lee SR, Chang M, Hur JH, et al. (2011) A fast, high endurance and scalable non-volatile memory device made from asymmetric Ta 2O5−x/TaO 2−x bilayer structures. Nat Mater 10(8):625–630.

Park TH, Song SJ, Kim HJ, Kim SG, Chung S, Kim BY, et al. (2015) Thickness effect of ultra-thin Ta 2O5 resistance switching layer in 28 nm-diameter memory cell. Sci Rep 5:15965.

Chen C, Goux L, Fantini A, Redolfi A, Clima S, Degraeve R, et al. (2014) Understanding the impact of programming pulses and electrode materials on the endurance properties of scaled Ta 2O5 RRAM cells In: 2014 IEEE International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2014.7047049.

Larentis S, Nardi F, Balatti S, Gilmer DC, Ielmini D (2012) Resistive switching by voltage-driven ion migration in bipolar RRAM—part II: modeling. IEEE Trans Electron Devices 59(9):2468–2475.

Mott NF, Gurney RW (1948) Electronic processes in ionic crystals.

Huang P, Liu X, Li W, Deng Y, Chen B, Lu Y, et al. (2012) A physical based analytic model of RRAM operation for circuit simulation In: 2012 International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2012.6479110.

Huang P, Liu XY, Chen B, Li HT, Wang YJ, Deng YX, et al. (2013) A physics-based compact model of metal-oxide-based RRAM DC and AC operations. IEEE Trans Electron Devices 60(12):4090–4097.

Russo U, Ielmini D, Cagli C, Lacaita AL (2009) Filament conduction and reset mechanism in NiO-based resistive-switching memory (RRAM) devices. IEEE Trans Electron Devices 56(2):186–192.

Russo U, Ielmini D, Cagli C, Lacaita AL (2009) Self-accelerated thermal dissolution model for reset programming in unipolar resistive-switching memory (RRAM) devices. IEEE Trans Electron Devices 56(2):193–200.

Russo U, Ielmini D, Cagli C, Lacaita AL, Spiga S, Wiemer C, et al. (2007) Conductive-filament switching analysis and self-accelerated thermal dissolution model for reset in NiO-based RRAM In: 2007 IEEE International Electron Devices Meeting.. IEEE. https://doi.org/10.1109/iedm.2007.4419062.

Nardi F, Larentis S, Balatti S, Gilmer DC, Ielmini D (2012) Resistive switching by voltage-driven ion migration in bipolar RRAM—part I: experimental study. IEEE Trans Electron Devices 59(9):2461–2467.

Multiphysics C (1998) Introduction to COMSOL multiphysicsⓇ. COMSOL Multiphysics, Burlington, MA, accessed Feb 9:2018.

Bocquet M, Aziza H, Zhao W, Zhang Y, Onkaraiah S, Muller C, et al. (2014) Compact modeling solutions for oxide-based resistive switching memories (OxRAM). J Low Power Electron Appl 4(1):1–14.

Bocquet M, Deleruyelle D, Muller C, Portal JM (2011) Self-consistent physical modeling of set/reset operations in unipolar resistive-switching memories. Appl Phys Lett 98(26):263507.

Bard AJ, Faulkner LR, et al. (2001) Fundamentals and applications: electrochemical methods. Wiley, New York.

Diokh T, Le-Roux E, Jeannot S, Gros-Jean M, Candelier P, Nodin J, et al. (2013) Investigation of the impact of the oxide thickness and RESET conditions on disturb in HfO 2-RRAM integrated in a 65nm CMOS technology In: 2013 IEEE International Reliability Physics Symposium (IRPS).. IEEE. https://doi.org/10.1109/irps.2013.6532043.

Mentor Graphics (2005) Eldo User’s Manual. Software Version 6.6_1 Release 2005.3.

Cabout T, Perniola L, Jousseaume V, Grampeix H, Nodin J, Toffoli A, et al. (2013) Temperature impact (upto200∘C) on performance and reliability of HfO 2-based RRAMs In: 2013 5th IEEE International Memory Workshop.. IEEE. https://doi.org/10.1109/imw.2013.6582112.

Chen A, Lin MR (2011) Variability of resistive switching memories and its impact on crossbar array performance In: 2011 International Reliability Physics Symposium.. IEEE. https://doi.org/10.1109/irps.2011.5784590.

Cabout T, Buckley J, Cagli C, Jousseaume V, Nodin JF, De Salvo B, et al. (2012) Resistance switching variability in HfO 2-based memory structures with different electrodes In: EMRS Spring Meeting 2012, May 2012, Strasbourg France.

Li H, Gao B, Chen Z, Zhao Y, Huang P, Ye H, et al. (2015) A learnable parallel processing architecture towards unity of memory and computing. Sci Rep 5:13330.

Yang JJ, Strukov DB, Stewart DR (2013) Memristive devices for computing. Nat Nanotechnol 8(1):13.

Gao S, Zeng F, Wang M, Wang G, Song C, Pan F (2015) Implementation of complete Boolean logic functions in single complementary resistive switch. Sci Rep 5:15467.

Rosezin R, Linn E, Kugeler C, Bruchhaus R, Waser R (2011) Crossbar logic using bipolar and complementary resistive switches. IEEE Electron Device Lett 32(6):710–712.

Balatti S, Ambrogio S, Ielmini D (2015) Normally-off logic based on resistive switches—part I: logic gates. IEEE Trans Electron Devices 62(6):1831–1838.

Prezioso M, Merrikh-Bayat F, Hoskins B, Adam GC, Likharev KK, Strukov DB (2015) Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 521(7550):61–64.

Yu S, Gao B, Fang Z, Yu H, Kang J, Wong HSP (2013) A low energy oxide-based electronic synaptic device for neuromorphic visual systems with tolerance to device variation. Adv Mater 25(12):1774–1779.

Chen Z, Gao B, Zhou Z, Huang P, Li H, Ma W, et al. (2015) Optimized learning scheme for grayscale image recognition in a RRAM based analog neuromorphic system In: 2015 IEEE International Electron Devices Meeting (IEDM), 17–7.. IEEE. https://doi.org/10.1109/IEDM.2015.7409722.

Wu H, Wang XH, Gao B, Deng N, Lu Z, Haukness B, et al. (2017) Resistive random access memory for future information processing system. Proc IEEE 105(9):1770–1789.

Du C, Ma W, Chang T, Sheridan P, Lu WD (2015) Biorealistic implementation of synaptic functions with oxide memristors through internal ionic dynamics. Adv Funct Mater 25(27):4290–4299.

Prezioso M, Bayat FM, Hoskins B, Likharev K, Strukov D (2016) Self-adaptive spike-time-dependent plasticity of metal-oxide memristors. Sci Rep 6(1):1–6.

He W, Huang K, Ning N, Ramanathan K, Li G, Jiang Y, et al. (2014) Enabling an integrated rate-temporal learning scheme on memristor. Sci Rep 4:4755.

Park S, Kim H, Choo M, Noh J, Sheri A, Jung S, et al. (2012) RRAM-based synapse for neuromorphic system with pattern recognition function In: 2012 international electron devices meeting.. IEEE. https://doi.org/10.1109/iedm.2012.6479016.

Yu S, Gao B, Fang Z, Yu H, Kang J, Wong HSP (2013) Stochastic learning in oxide binary synaptic device for neuromorphic computing. Front Neurosci 7:186.

Chen A (2015) Comprehensive assessment of RRAM-based PUF for hardware security applications In: 2015 IEEE International Electron Devices Meeting (IEDM).. IEEE. https://doi.org/10.1109/iedm.2015.7409672.

Gao Y, Ranasinghe DC, Al-Sarawi SF, Kavehei O, Abbott D (2015) Memristive crypto primitive for building highly secure physical unclonable functions. Sci Rep 5:12785.

Nakayama M (2017) ReRAM technologies: applications and outlook In: 2017 IEEE International Memory Workshop (IMW).. IEEE. https://doi.org/10.1109/imw.2017.7939099.

Liu R, Wu H, Pang Y, Qian H, Yu S (2015) Experimental characterization of physical unclonable function based on 1 kb resistive random access memory arrays. IEEE Electron Device Lett 36(12):1380–1383.

Chiu PF, Chang MF, Wu CW, Chuang CH, Sheu SS, Chen YS, et al. (2012) Low store energy, low VDDmin, 8T2R nonvolatile latch and SRAM with vertical-stacked resistive memory (memristor) devices for low power mobile applications. IEEE J Solid State Circ 47(6):1483–1496.

Huang LY, Chang MF, Chuang CH, Kuo CC, Chen CF, Yang GH, et al. (2014) ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing In: 2014 Symposium on VLSI Circuits Digest of Technical Papers.. IEEE. https://doi.org/10.1109/vlsic.2014.6858404.

Lo CP, Chen WH, Wang Z, Lee A, Hsu KH, Su F, et al. (2016) A ReRAM-based single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for nonvolatile processors in IoT era In: 2016 IEEE International Electron Devices Meeting (IEDM).. IEEE. https://doi.org/10.1109/iedm.2016.7838430.