Optimality of dynamic voltage/frequency scaling in many-core systems with voltage-frequency islands

Sustainable Computing: Informatics and Systems - Tập 24 - Trang 100344 - 2019
Shervin Hajiamini1, Behrooz Shirazi1, Hongbo Dong2, Chris Cain1
1School of EECS, Washington State University, Pullman, WA 99164, United States
2Department of Mathematics and Statistics, Washington State University, Pullman, WA 99164, United States

Tài liệu tham khảo

Isci, 2006, An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 347 S. Borkar, "Thousand core chips: a technology perspective," in Proceedings of the 44th annual Design Automation Conference, 2007, pp. 746-749. Yan, 2012, AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture, IEEE HPCA, 1 Han, 2012, Synchronization-aware energy management for VFI-based multicore real-time systems, IEEE TC Patterson, 2016, EDF-hv: An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems, Proceedings of the 24th International Conference on Real-Time Networks and Systems, 267, 10.1145/2997465.2997491 Ogras, 2009, Design and management of voltage-frequency island partitioned networks-on-chip, IEEE TVLSI A. K. Singh, A. M. Shafique, A. A. Kumar, A. J. Henkel, "Mapping on multi/many-core systems: survey of current and emerging trends," in Proceedings of the 50th Annual Design Automation Conference, 2013, ACM, 1-10. Sheikh, 2016, An evolutionary technique for performance-energy-temperature optimized scheduling of parallel tasks on multi-core processors, IEEE Trans. Parallel Distributed Syst., 27, 668, 10.1109/TPDS.2015.2421352 Bambagini, 2016, Energy-aware scheduling for real-time systems: a survey, ACM Trans. Embed. Comput. Syst., 15, 1, 10.1145/2808231 Sheikh, 2012, Energy- and performance-aware scheduling of tasks on parallel and distributed systems, J. Emerg. Technol. Comput. Syst., 8, 1, 10.1145/2367736.2367743 Ogras, 2009, Design and management of voltage-frequency island partitioned networks-on-chip, IEEE Trans. Very Large Scale Integr. Syst., 17, 330, 10.1109/TVLSI.2008.2011229 Ozen, 2011, Genetic algorithm based NoC design with voltage/frequency islands, 5th International Conference on Application of Information and Communication Technologies (AICT), 1 Ninomiya, 2012, Task Allocation and Scheduling for Voltage-Frequency Islands Applied NoC-based MPSoC Considering Network Congestion, presented at the IEEE 6th International Symposium on Embedded Multicore SoCs, 107 Ghosh, 2009, Energy efficient application mapping to NoC processing elements operating at multiple voltage levels, presented at the 3rd ACM/IEEE International Symposium on Networks-on-Chip, 80 Kong, 2011, Energy-efficient scheduling of real-time tasks on cluster-based multicores, Design Autom. Test Europe, 1 Demiriz, 2014, Voltage island based heterogeneous NoC design through constraint programming, Comput. Electr. Eng., 40, 307, 10.1016/j.compeleceng.2014.08.005 Lei, 2003, A two-step genetic algorithm for mapping task graphs to a network on chip architecture, Euromicro Symposium on Digital System Design, 180 Mahabadi, 2013, Reliable energy-aware application mapping and voltage-frequency island partitioning for GALS-based NoC, J. Comput. Syst. Sci., 79, 457, 10.1016/j.jcss.2012.09.006 Jin, 2013, On optimizing system energy of GALS-based multi-core SoC in the presence of process variations, 357 Pagani, 2013, Energy Efficient Task Partitioning Based on the Single Frequency Approximation Scheme, Presented at the IEEE 34th Real-Time Systems Symposium, 308 Wu, 2013, Energy-Efficient Task Allocation for VFI-Based Real-Time Multi-core Systems, presented at the International Conference on Information Science and Cloud Computing Companion Ghosh, 2010, Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints, Proceedings of the ACM Symposium on Applied Computing, 535 Jin, 2016, Statistical energy optimization on voltage–frequency island based MPSoCs in the presence of process variations, Microelectron. J., 54, 23, 10.1016/j.mejo.2016.05.004 Tarplee, 2016, Energy and Makespan Tradeoffs in heteregenous computing systems using efficient linear programming techniques, IEEE Trans. Parallel Distrib. Syst., 27, 1633, 10.1109/TPDS.2015.2456020 Bienia, 2008, The PARSEC benchmark suite: characterization and architectural implications, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, 72, 10.1145/1454115.1454128 S. Hajiamini, B. Shirazi, A. Crandall, H. Ghasemzadeh, and C. Cain, Impact of Cache Voltage Scaling on Energy-Time Pareto Frontier in Multicore Systems, Elsevier SUSCOM, 54-65, 2017. Howard, 2011, A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling, IEEE J. Solid-State Circuits, 46, 173, 10.1109/JSSC.2010.2079450 David, 2011, Dynamic Power Management of Voltage-Frequency Island Partitioned Networks-on-Chip using Intel Single-Chip Cloud Computer, presented at the Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, 10.1145/1999946.1999989 Binkert, 2011, The gem5 simulator, SIGARCH Comput. Archit. News, 39, 1, 10.1145/2024716.2024718 Juan, 2013, Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling, Proc. CODES-ISSS, 1 Lu, 2016, A dynamic, compiler guided DVFS mechanism to achieve energy-efficiency in multi-core processors, Sustain. Comput.: Inform. Syst. (SUSCOM), 1 Teodorescu, 2008, Variation-aware application scheduling and power management for chip multiprocessors, SIGARCH Comput. Archit. News, 363, 10.1145/1394608.1382152 Kim, 2008, System level analysis of fast, per-core DVFS using on-chip switching regulators, IEEE International Symposium on High Performance Computer Architecture, 123 Li, 2009, McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures, Presented at the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 469, 10.1145/1669112.1669172 Bienia, 2011, 1 D. M. Gay, "The AMPL Modeling Language: An Aid to Formulating and Solving Optimization Problems," in Springer Proceedings in Mathematics & Statistics, 2015, vol. 134, pp. 1-22. I. AMPL Optimization. (2018). Solvers [online]. Available: https://ampl.com/products/solvers/. Available: https://github.com/jlrisco/hero. David, 2011, Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel single-chip cloud computer, ACM NoCS