Approximate Computing: A Survey of Recent Trends—Bringing Greenness to Computing and Communication
Tóm tắt
Từ khóa
Tài liệu tham khảo
M. Papermaster, Improving the Energy Efficiency of Computing as Moore’s Law Slows—Are the Energy-Related Benefits from Moore’s Law Slowing Down? (2015), https://www.greentechmedia.com/articles/read/improving-the-energy-efficiency-of-computing-while-moores-law-slows . Accessed 08 June 2019
S. Davidson, Good enough computing. IEEE Design Test 33(1), 15 (2016). https://doi.org/10.1109/mdat.2015.2506218
J. Koomey, S. Berard, M. Sanchez, H. Wong, Implications of historical trends in the electrical efficiency of computing. IEEE Ann. Hist. Comput. 33(3), 46–54 (2011)
C.H. Bennett, Notes on Landauer’s principle, reversible computation, and Maxwell’s Demon. Stud. Hist. Philos. Sci. B Stud. Hist. Philos. Mod. Phys. 34(3), 501–510 (2003)
A. Bérut, A. Arakelyan, A. Petrosyan, S. Ciliberto, R. Dillenschneider, E. Lutz, Experimental verification of Landauer/’s principle linking information and thermodynamics. Nature 483(7388), 187–189 (2012)
A. Sampson, A. Baixo, B. Ransford, T. Moreau, J. Yip, L. Ceze, M. Oskin, Accept: A programmer-guided compiler framework for practical approximate computing. University of Washington Technical Report UW-CSE-15-01, 1 (2015)
A. Sampson, W. Dietl, E. Fortuna, D. Gnanapragasam, L. Ceze, D. Grossman, EnerJ: Approximate data types for safe and general low-power computation, in ACM SIGPLAN Notices, vol. 46, no. 6 (ACM, 2011), pp. 164–174
A. Yazdanbakhsh, D. Mahajan, H. Esmaeilzadeh, P. Lotfi-Kamran, AxBench: a multiplatform benchmark suite for approximate computing. IEEE Design Test 34(2), 60–68 (2017)
I. Wali, M. Traiola, A. Virazel, P. Girard, M. Barbareschi, A. Bosio, Can we approximate the test of integrated circuits?, in WAPCO: Workshop On Approximate Computing (2017)
Z. Peng, X. Chen, C. Xu, N. Jing, X. Liang, C. Lu, L. Jiang, AXNet: ApproXimate computing using an end-to-end trainable neural network, in Proceedings of the International Conference on Computer-Aided Design (ACM, 2018), p. 11
F. Regazzoni, C. Alippi, I. Polian, Security: the dark side of approximate computing?, in Proceedings of the International Conference on Computer-Aided Design (ACM, 2018), p. 44
B. Fleischer, S. Shukla, Unlocking the Promise of Approximate Computing for On-Chip AI Acceleration (2018), https://www.ibm.com/blogs/research/2018/06/approximate-computing-ai-acceleration/ . Accessed 08 June 2019
G.G. Henry, T. Park, R.E. Hooker, VIA Alliance Semiconductor Co Ltd, 2019. Processor with approximate computing execution unit that includes an approximation control register having an approximation mode flag, an approximation amount, and an error threshold, where the approximation control register is writable by an instruction set instruction. U.S. Patent Application 10/235,232
V. Pejovic, Towards Approximate Mobile Computing, Newsletter, GetMobile: Mobile Computing and Communications, vol. 22, no. 4 (ACM New York, NY, USA, 2018), pp 9–12
Available (Sampa Research group website), https://sampa.cs.washington.edu/new/research/approximation/index.html . Accessed 08 June 2019
M. Ringenburg, A. Sampson, I. Ackerman, L. Ceze, D. Grossman, Monitoring and debugging the quality of results in approximate program, in ACM SIGPLAN Notices, vol. 50, no. 4 (ACM, 2015), pp. 399–411
J. Park, X. Zhang, K. Ni, H. Esmaeilzadeh, M. Naik, Expax: A Framework for Automating Approximate Programming (Georgia Institute of Technology, Atlanta, 2014)
J. Park, H. Esmaeilzadeh, X. Zhang, M. Naik, W. Harris, Flexjava: Language support for safe and modular approximate programming, in 10th Proceedings of the 2015 10th Joint Meeting on Foundations of Software Engineering (ACM, 2015), pp. 745-757
F. Betzel, K. Khatamifard, H. Suresh, D.J. Lilja, J. Sartori, U. Karpuzcu, Approximate communication: techniques for reducing communication bottlenecks in large-scale parallel systems. ACM Comput. Surv. (CSUR) 51(1), 1 (2018)
S. Sidiroglou-Douskos, S. Misailovic, H. Hoffmann, M. Rinard, Managing performance vs. accuracy trade-offs with loop perforation, in Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering (ACM, 2011), pp. 124–134
L. Sekanina, Z. Vasicek, V. Mrazek, Approximate circuits in low-power image and video processing: the approximate median filter. Radioengineering 26(3), 623 (2017)
H.E. Yantır, A.M. Eltawil, F.J. Kurdahi, A hybrid approximate computing approach for associative in-memory processors. IEEE J. Emerg. Sel. Top. Circuits Syst. 8(4), 758–769 (2018)
D.R.P.J.L. Vincent, L.N.K.S.A. Krishnamurthy, Designing distributed systems using approximate synchrony in data center networks, in On Networked Systems Design and Implementation (NSDI’15) (2015), p. 43
S. Reda, M. Shafique, Approximate Circuits, Methodologies and CAD (Springer, Switzerland, 2019). https://doi.org/10.1007/978-3-319-99322-5
H. Esmaeilzadeh, A. Sampson, L. Ceze, D. Burger, Neural acceleration for general-purpose approximate programs, in Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (IEEE Computer Society, 2012), pp. 449–460
A. Sampson, J. Nelson, K. Strauss, L. Ceze, Approximate storage in solid-state memories. ACM Trans. Comput. Syst. (TOCS) 32(3), 9 (2014)
H. Esmaeilzadeh, A. Sampson, L. Ceze, D. Burger, Architecture support for disciplined approximate programming, in ACM SIGPLAN Notices, vol. 47, no. 4 (ACM, 2012), pp. 301–312
V. Gupta, D. Mohapatra, S.P. Park, A. Raghunathan, K. Roy, IMPACT: imprecise adders for low-power approximate computing, in Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design (IEEE Press, 2011), pp. 409–414
H. Jiang, J. Han, F. Lombardi, A comparative review and evaluation of approximate adders, in Proceedings of the 25th edition on Great Lakes Symposium on VLSI (ACM, 2015), pp. 343–348
S. Venkataramani, A. Ranjan, K. Roy, A. Raghunathan, AxNN: energy-efficient neuromorphic systems using approximate computing, in 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) (IEEE, 2014), pp. 27–32
I. Alouani, H. Ahangari, O. Ozturk, S. Niar, A novel heterogeneous approximate multiplier for low power and high performance. IEEE Embed. Syst. Lett. 10(2), 45–48 (2017)
I. Goiri, R. Bianchini, S. Nagarakatte, T.D. Nguyen, Approxhadoop: bringing approximations to mapreduce frameworks, in ACM SIGARCH Computer Architecture News, vol. 43, no. 1 (ACM, 2015), pp. 383–397
D.R. Krishnan, D.L. Quoc, P. Bhatotia, C. Fetzer, R. Rodrigues, Incapprox: a data analytics system for incremental approximate computing, in Proceedings of the 25th International Conference on World Wide Web (International World Wide Web Conferences Steering Committee, 2016), pp. 1133–1144
C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications, in PACT (2008), pp. 451–460
B. Boston, A. Sampson, D. Grossman, L. Ceze, Probability type inference for flexible approximate programming. ACM SIGPLAN Not. 50(10), 470–487 (2015)
A. Sampson, J. Bornholt, L. Ceze Hardware-software co-design: not just a cliché. In LIPIcs-Leibniz International Proceedings in Informatics, vol. 32 (Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, 2015)
T. Moreau, M. Wyse, J. Nelson, A. Sampson, H. Esmaeilzadeh, L. Ceze, M. Oskin, SNNAP: approximate computing on programmable socs via neural acceleration, in 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA) (IEEE, 2015), pp. 603–614
A. Sampson, P. Panchekha, T. Mytkowicz, K.S. McKinley, D. Grossman, L. Ceze, Expressing and verifying probabilistic assertions. ACM SIGPLAN Not. 49(6), 112–122 (2014)
ACT Lab, School of Computer Science, Georgia Institute of Technology, Internet Resource, http://act-lab.org/pub.html . Accessed 08 June 2019
J. Park, E. Amaro, D. Mahajan, B. Thwaites, H. Esmaeilzadeh, AxGames: towards crowdsourcing quality target determination in approximate computing. ACM SIGARCH Comput. Archit. News 44(2), 623–636 (2016)
D. Mahajan, A. Yazdanbakhsh, J. Park, B. Thwaites, H. Esmaeilzadeh, Towards statistical guarantees in controlling quality tradeoffs for approximate acceleration. ACM SIGARCH Comput. Archit. News 44(3), 66–77 (2016)
A. Lotfi, A. Rahimi, A. Yazdanbakhsh, H. Esmaeilzadeh, R.K. Gupta, Grater: an approximation workflow for exploiting data-level parallelism in FPGA acceleration, in Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 1279–1284
A. Yazdanbakhsh, G. Pekhimenko, B. Thwaites, H. Esmaeilzadeh, O. Mutlu, T.C. Mowry, RFVP: rollback-free value prediction with safe-to-approximate loads. ACM Trans. Archit. Code Optim. (TACO) 12(4), 62 (2016)
D. Mahajan, K. Ramkrishnan, R. Jariwala, A. Yazdanbakhsh, J. Park, B. Thwaites, A. Nagendrakumar, A. Rahimi, H. Esmaeilzadeh, K. Bazargan, Axilog: Abstractions for approximate hardware design and reuse, in IEEE Micro (2015)
H. Esmaeilzadeh, A. Sampson, M. Ringenburg, L. Ceze, D. Grossman, D. Burger, Addressing dark silicon challenges with disciplined approximate computing, in Proceedings of the Dark Silicon 2012 (Co-Located With ISCA 2012) (2012), pp. 1–2
A. Sampson, Department of Computer Science, Cornell university, https://www.cs.cornell.edu/~asampson/research.html . Accessed 08 June 2019
A. Sampson, The case for compulsory approximation, in Workshop on Approximate Computing Across the Stack (2016)
T. Moreau, A. Sampson, L. Ceze, M. Oskin, Approximating to the last bit. Ratio 20(40), 60 (2016)
M. Wyse, A. Baixo, T. Moreau, B. Zorn, J. Bornholt, A. Sampson, L. Ceze, M. Oskin, React: A framework for rapid exploration of approximate computing techniques, in Workshop on Approximate Computing Across the Stack (WAX w/PLDI) (2015)
A. Sampson, Two Approximate-Programmability Birds, One Statistical-Inference Stone
B. Boston, A. Sampson, D. Grossman, L. Ceze, Tuning approximate computations with constraint-based type inference, in Workshop on Approximate Computing Across the System Stack (WACAS) (2014)
B. Ransford, A. Sampson, L. Ceze, Approximate Semantics for Wirelessly Networked Applications. arxiv (2015)
M.F. Ringenburg, A. Sampson, L. Ceze, D. Grossman Profiling and autotuning for energy-aware approximate programming, in Workshop on Approximate Computing Across the System Stack (WACAS) (2014)
H. Esmaeilzadeh, A. Sampson, L. Ceze, D. Burger, Towards neural acceleration for general-purpose approximate computing
J. Nelson, A. Sampson, L. Ceze, Dense approximate storage in phase-change memory, in ASPLOS Ideas & Perspectives (2011)
T. Moreau, A. Sampson, L. Ceze, Approximate computing: making mobile systems more efficient. IEEE Pervasive Comput. 14(2), 9–13 (2015)
S. Han, H. Shen, M. Philipose, S. Agarwal, A. Wolman, A. Krishnamurthy, Mcdnn: An approximation-based execution framework for deep stream processing under resource constraints, in Proceedings of the 14th Annual International Conference on Mobile Systems, Applications, and Services (ACM, 2016), pp. 123–136
S. Misailovic, S. Sidiroglou, H. Hoffmann, M. Rinard, Quality of service profiling, in Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering-Volume 1 (ACM, 2010), pp. 25–34
M. Rinard, Probabilistic accuracy bounds for fault-tolerant computations that discard tasks. In Proceedings of the 20th Annual International Conference on Supercomputing (ACM, 2006), pp. 324–334
P. Stanley-Marbell, V. Estellers, M. Rinard, Crayon: saving power through shape and color approximation on next-generation displays, in Proceedings of the 11th European Conference on Computer Systems (ACM, 2016), p. 11
P. Stanley-Marbell, M. Rinard, Efficiency limits for value-deviation-bounded approximate communication. IEEE Embed. Syst. Lett. 7(4), 109–112 (2015)
S. Achour, M.C. Rinard, Approximate computation with outlier detection in topaz, in Acm Sigplan Notices, vol. 50, no. 10 (ACM, 2015), pp. 711–730
Stanley-Marbell, P. and Rinard, M., 2015, May. Lax: Driver Interfaces for Approximate Sensor Device Access. In HotOS
S. Misailovic, M. Carbin, S. Achour, Z. Qi, M.C. Rinard, Chisel: Reliability-and accuracy-aware optimization of approximate computational kernels, in ACM SIGPLAN Notices, vol. 49, no. 10 (ACM, 2014), pp. 309–328
M. Rinard, Parallel synchronization-free approximate data structure construction, in HotPar (2013)
M.C. Rinard, Unsynchronized techniques for approximate parallel computing, in RACES Workshop (2012)
P. Stanley-Marbell, M. Rinard, Approximating outside the processor (2015)