Techniques to Measure, Model, and Manage Power
Tài liệu tham khảo
T. Austin, SimpleScalar 4.0 Release Note. <http://www.simplescalar.com>.
D.H. Bailey, T. Harris, W.C. Saphir, R.F. Van der Wijngaart, A.C. Woo, M. Yarrow, The NAS Parallel Benchmarks 2.0, Report NAS-95-020, NASA Ames Research Center, December 1995.
M. Banikazemi, D. Poff, B. Abali, PAM: a novel performance/power aware meta-scheduler for multi-core systems, in Proceedings of the IEEE/ACM Supercomputing International Conference on High Performance Computing, Networking, Storage and Analysis, No. 39, November 2008.
D. Bedard, M. Y. Lim, R. Fowler, A. Porterfield, Powermon: fine-grained and integrated power monitoring for commodity computer systems, Proceedings of the IEEE SoutheastCon 2010 March 2010, pp. 479–484.
F. Bellosa, S. Kellner, M. Waitz, A. Weissel, Event-driven energy accounting for dynamic thermal management, Proceedings of the Workshop on Compilers and Operating Systems for Low Power September 2003.
M. Berktold, T. Tian, CPU Monitoring With DTS/PECI, White Paper, Intel Corporation, September 2010. <http://download.intel.com/design/intarch/papers/322683.pdf>.
R. Bertran, M. Gonzalez, X. Martorell, N. Navarro, E. Ayguade, Decomposable and responsive power models for multicore processors using performance counters, Proceedings of the 24th ACM International Conference on Supercomputing, June 2010, pp. 147–158.
C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications, Proceedings of the IEEE/ACM International Conference on Parallel Architectures and Compilation Techniques, October 2008, pp. 72–81.
C. Boneti, R. Gioiosa, F.J. Cazorla, M. Valero, A dynamic scheduler for balancing HPC applications, Proceedings of the IEEE/ACM Supercomputing International Conference on High Performance Computing, Networking, Storage and Analysis, No. 41, November 2008.
D. Brooks, V. Tiwari, M. Martonosi, Wattch: a framework for architectural-level power analysis and optimizations, Proceedings of the 27th IEEE/ACM International Symposium on Computer Architecture, June 2000, pp. 83–94.
G. Contreras, M. Martonosi, Power prediction for Intel XScale processors using performance monitoring unit events, Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, August 2005, pp. 221–226.
Intel Corporation, Intel Turbo Boost Technology in Intel Core™ Microarchitecture Nehalem Based Processors, White Paper, Intel Corporation, November 2008.
Intel Corporation, Voltage Regulator-Down VRD 11.1, Design Guidelines, Intel Corporation, September 2009.
Intel Corporation, Intel Core i7-800 and i5-700 Desktop Processor Series, Datasheet, Intel Corporation, July 2010.
LEM Corporation, Intel Current Transducer LTS 25-NP, Datasheet, LEM, November 2009.
Z. Cui, Y. Zhu, Y. Bao, M. Chen, A fine-grained component-level power measurement method, Proceedings of the 2nd International Green Computing Conference, July 2011, pp. 1–6.
D. Economou, S. Rivoire, C. Kozyrakis, P. Ranganathan, Full-system power analysis and modeling for server environments, Proceedings of the Workshop on Modeling, Benchmarking, and Simulation, June 2006.
Electronic Educational Devices, Watts Up PRO. May 2009. <http://www.wattsupmeters.com>.
R.A. Giri, Vanchi, Increasing data center efficiency with server power measurements, White Paper, Intel Corporation, January 2010. <http://communities.intel.com/docs/DOC-4755>.
B. Goel, Per-core power estimation and power aware scheduling strategies for CMPs, Master’s Thesis, Chalmers University of Technology, January 2011.
B. Goel, S.A. McKee, R. Gioiosa, K. Singh, M. Bhadauria, M. Cesati, Portable, scalable, per-core power estimation for intelligent resource management, Proceedings of the 1st International Green Computing Conference August 2010, pp. 135–146.
M. Govindan, S. Keckler, D. Burger, End-to-end validation of architectural power models, Proceedings of the 14th ACM/IEEE International Symposium on Low Power Electronics and Design, July 2009, pp. 383–388.
Intel, Intel 64 and IA-32 Architectures Software Developer’s Manual, May 2012.
C. Isci, A. Buyuktosunoglu, C.Y. Cher, P. Bose, M. Martonosi, An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget, Proceedings of the IEEE/ACM 40th Annual International Symposium on Microarchitecture, December 2006, pp. 347–358.
C. Isci, M. Martonosi, Runtime power monitoring in high-end processors: methodology and empirical data, Proceedings of the IEEE/ACM 37th Annual International Symposium on Microarchitecture, 2003, pp. 93–104.
R. Joseph, M. Martonosi, Run-time power estimation in high-performance microprocessors, Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, August 2001, pp. 135–140.
B.C. Lee, D.M. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, Proceedings of the 12th ACM Symposium on Architectural Support for Programming Languages and Operating Systems, October 2006, pp. 185–194.
A. Mathur, S. Roy, R. Bhatia, A. Chakraborty, V. Bhargava, J. Bhartia, Joulequest: an accurate power model for the StarCore DSP platform, Proceedings of the 20th International Conference on VLSI Design, January 2007, pp. 521–526.
K. Meng, R. Joseph, R.P. Dick, L.Shang, Multi-optimization power management for chip multiprocessors, Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008, pp. 177–186.
A. Merkel, F. Bellosa, Balancing power consumption in multicore processors, Proceedings of the ACM SIGOPS/EuroSys European Conference on Computer Systems, April 2006, pp. 403–414.
M. Moudgill, P. Bose, J. Moreno, Validation of Turandot, a fast processor model for microarchitecture exploration, Proceedings of the International Performance, Computing, and Communications Conference, February 1999, pp. 452–457.
Mudge, 2001, Power: a first-class architectural design constraint, IEEE Comput., 34, 52, 10.1109/2.917539
Murugesan, 2008, Harnessing green it: principles and practices, IEEE IT Prof., 10, 24, 10.1109/MITP.2008.10
National Instruments Corporation, NI Bus-Powered M Series Multifunction DAQ for USB, April 2009.<http://sine.ni.com/ds/app/doc/p/id/ds-9/lang/en>.
Park, 2011, A multi-granularity power modeling methodology for embedded processors, IEEE Trans. VLSI, 19, 668, 10.1109/TVLSI.2009.2039153
K.K. Pusukuri, D. Vengerov, A. Fedorova, A methodology for developing simple and robust power models using performance monitoring events, Proceedings of the 6th Annual Workshop on the Interaction between Operating Systems and Computer Architecture, June 2009.
K. Rajamani, H. Hanson, J. Rubio, S. Ghiasi, F. Rawson, Application-aware power management, Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, October 2006, pp. 39–48.
Rotem, 2012, Power-management architecture of the Intel microarchitecture code-named Sandy Bridge, IEEE Micro, 32, 20, 10.1109/MM.2012.12
Sami, 2002, An instruction-level energy model for embedded VLIW architectures, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 21, 998, 10.1109/TCAD.2002.801105
Server System Infrastructure Forum, EPS12V Power Supply Design Guide, 2.92 ed., Dell, HP, SGI, and IBM, 2006.
K. Singh, Prediction strategies for power-aware computing on multicore processors, PhD Thesis, Cornell University, 2009.
K. Singh, M. Bhadauria, S.A. McKee, Real time power estimation and thread scheduling via performance counters, Proceedings of the Workshop on Design, Architecture and Simulation of Chip Multi-Processors, November 2008.
Spearman, 1904, The proof and measurement of association between two things, Am. J. Psychol., 15, 72, 10.2307/1412159
E. Stahl, Power Benchmarking: A new methodology for analyzing performance by applying energy efficiency metrics, White Paper, IBM, 2006.
Standard Performance Evaluation Corporation, SPEC CPU Benchmark Suite, 2000. <http://www.specbench.org/osg/cpu2000/>.
Standard Performance Evaluation Corporation, SPEC OMP Benchmark Suite, 2001. <http://www.specbench.org/hpg/omp2001/>.
Standard Performance Evaluation Corporation, SPEC CPU Benchmark Suite, 2006. <http://www.specbench.org/osg/cpu2006/>.
Standard Performance Evaluation Corporation, SPECpower_ssj2008 Benchmark Suite, 2008. <http://www.spec.org/power_ssj2008/>.
C. Sun, L. Shang, R.P. Dick, Three-dimensional multiprocessor system-on-chip thermal optimization, Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, 2007, pp. 117–122.
The Climate Group, SMART 2020: Enabling the Low Carbon Economy in the Information Age, GeSI’s Activity Report, The Climate Group on Behalf of the Global eSustainability Initiative GeSI, June 2008.
V. Tiwari, S. Malik, A. Wolfe, M.T.-C. Lee, Instruction level power analysis and optimization of software, Proceedings of the 9th International Conference on VLSI Design, January 1996, pp. 326–328.
X. Wang, M. Chen, Cluster-level feedback power control for performance optimization, Proceedings of the 14th IEEE International Symposium on High Performance Computer Architecture, February 2008, pp. 101–110.
V.M. Weaver, J. Dongarra, Can hardware performance counters produce expected, deterministic results, proceedings of Third Workshop on Functionality of Hardware Performance Monitoring, December 2010.
V.M. Weaver, S.A. McKee, Can hardware performance counters be trusted? Technical Report CSL-TR-2008-1051, Cornell University, August 2008.
V.M. Weaver, S.A. McKee, Can hardware performance counters be trusted? Proceedings of the IEEE International Symposium on Workload Characterization, September 2008, pp. 141–150.
W. Ye, N. Vijaykrishnan, M. Kandemir, M.J. Irwin, The design use of SimplePower: a cycle-accurate energy estimation tool, Proceedings of the 37th ACM/IEEE Design Automation Conference, June 2000, pp. 340–345.
D. Zaparanuks, M. Jovic, M. Hauswirth, Accuracy of performance counter measurements, Technical Report USI-TR-2008-05, Università della Svizzera italiana, September 2008.